当前位置: 首页 > news >正文

网站 规划方案/火蝠电商代运营公司

网站 规划方案,火蝠电商代运营公司,提交收录网站,用dw做网站毕业设计1、过程连续赋值 1. assign 和 deassign:该结构目前被认为是很糟糕的编码风格,建议禁用。 deassign 表示一直保持被赋予的值,直到下一次改变。 module edge_dff(input wire d ,input wire clk ,input wire rs…

1、过程连续赋值

        1.  assign 和 deassign:该结构目前被认为是很糟糕的编码风格,建议禁用。

        deassign 表示一直保持被赋予的值,直到下一次改变。

module    edge_dff(input    wire    d      ,input    wire    clk    ,input    wire    rst    ,output   reg     q      ,output   reg     qbar);always@(posedge clk)beginq     =    d     ;qbar  =    ~d    ;endalways@(rst)beginif( rst == 1'b1 )beginassign    q       =    1'b0    ;assign    qbar    =    1'b1    ;endelsebegindeassign    q       ;deassign    qbar    ;endendendmodule

         2.        force     release:  该结构目前被认为是很糟糕的编码风格,建议禁用。应当只出现在tb中。

module    tb();......edge_dff(q,qbar,d,clk,rst)    ;......initialbegin#50force    dff.q    =    1'b1    ;#50release  dff.q    ;endendmodule

     

2、改写参数

        defparam改写

module    hello_world(.......);parameter    id_num    =    0    ;endmodulemodule top(......);defparam    w1.id_num    =    1    ;defparam    w2.id_num    =    2    ;hello_world    w1();hello_world    w2();endmodule

3、条件编译和执行

        条件编译

//demo  1
`ifdef    TESTmodule    test1(......);......endmodule
`elsemodule    test2(......);......endmodule
`endif//demo 2
module    top    ;bus_master    b1();`ifdef   ADD_B2bus_master    b2();`elseif  ADD_B3bus_master    b3();`elsebus_master    b4();`endifendmodule

条件执行

         

4、时间尺度

        一般用于tb

`timescale    100ns/1ns    
//100ns 表示 单位时间
//1ns 表示 时间精度

 

5、常用系统任务

        1.        文件输出

                        打开文件: fopen

integer    handl    ;
handl    =    $fopen("demo1.out")    ;

        2、        写文件:fdisplay  fmonitor  fwrite   fstrobe

        

integer    decs1    ;initial
begindecs1 = 1'b1    ;$fdisplay(decs1,"display 1")    ;
end

         

        3、        关闭文件:fclose

$fclose(handle1)    ;

        4、        显示层次:

module    M();initialbegin$display("display in %m")    ;endendmodulemodule    top();M    m1();M    m2();M    m3();endmodule

        5、        选通显示:strobe

                strobe语句可以确保同一时刻执行完之后才显示数据

        6、        随机数生成

                $random           ;        随机数生成器 是带符号的。

                {$random}         ;        加{}之后,为正数。

        7、        存储器初始化

                $readmemb("<file_name>",<memory_name>)        ;

module tb();reg [7:0]    memory [0:127]    ;integer i ;initialbeign$readmemb("init.dat",memory)    ;endendmodule

         8、        值变转储文件

        值变转储文件(Value conversion dump :VCD)是一个ASCLL文件,包含仿真时间,范围与信号的定义以及仿真过程中的信号变化。

        

initial
begin$dumpfile("myfile.dmp")    ;// 仿真信息存储到myfile.dmp
endinitial
begin$dumpvars           ;    //没有说明指定变量的范围,代表所有信号$dumpvars(1,top)    ;    //转储top中的信号,1表示转储top下的第一层
endinitial
begin$dumpon    ;    //启动转储#100000$dumpoff   ;    //停止转储
endinitial
begin$dumpall    ;    //生成一个检查点,转储所以VCD变量的当前值
end

 

                

         

http://www.whsansanxincailiao.cn/news/30278856.html

相关文章:

  • 网站的制作方法/搜索引擎关键词优化
  • 成都装修公司排名哪家好/杭州seo全网营销
  • 南通哪些公司做网站/合肥百度推广优化排名
  • alt网站标签怎么做/成都网站优化及推广
  • seo外包平台/windows优化大师软件介绍
  • 怎么登录住房城乡建设部网站/站长统计app官方网站
  • 做爰网站1000部/导购网站怎么推广
  • 网站开发服务商平台/打开2345网址大全
  • 网站免费模板制作/百度首页网址是多少
  • 30个成功的电子商务网站设计/百度客户端在哪里打开
  • 做的比较好的返利网站知乎/seo优化操作
  • 福建建设执业管理中心网站/网站源码
  • 搜狐快站做淘宝客网站/星沙网站优化seo
  • 做知乎网站的图片/广州新闻播报
  • 营口网站建设价格/做网站找哪个公司好
  • 关于集团网站建设的修改请示/兰州网站seo诊断
  • 手机网站翻页效果/搜索引擎优化的主要特征
  • django网站开发教程/百度如何优化排名靠前
  • 网站的流量有什么用/广州seo团队
  • 广州网站建设推广服务/企业网络营销方法
  • 做外贸翻译用哪个网站/百度关键词优化怎么做
  • 网站建设的步骤是什么/软文范例300字
  • 专业做网站广州/在百度做广告多少钱
  • 免费网站模板带后台/今日头条新闻下载安装
  • 烟台开发区网站制作公司/最大的推广平台
  • 济南网站设计开发/网站制作和推广
  • 西安建设公司网站/怎么卸载windows优化大师
  • 如何生成链接/什么是网站seo
  • 网站建设要咨询哪些/青岛网页搜索排名提升
  • 文件上传到沧州建设局网站/如何利用互联网宣传与推广